留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

基于等效噪声模型的数字锁相环环路参数确定方法

封帆 龚航 臧文驰 陈华明

封帆, 龚航, 臧文驰, 陈华明. 基于等效噪声模型的数字锁相环环路参数确定方法[J]. 全球定位系统, 2021, 46(4): 93-100. doi: 10.12265/j.gnss.2021020101
引用本文: 封帆, 龚航, 臧文驰, 陈华明. 基于等效噪声模型的数字锁相环环路参数确定方法[J]. 全球定位系统, 2021, 46(4): 93-100. doi: 10.12265/j.gnss.2021020101
FENG Fan, GONG Hang, ZANG Wenchi, CHEN Huaming. A method for designing the loop parameters of digital PLL based on equivalent signal model[J]. GNSS World of China, 2021, 46(4): 93-100. doi: 10.12265/j.gnss.2021020101
Citation: FENG Fan, GONG Hang, ZANG Wenchi, CHEN Huaming. A method for designing the loop parameters of digital PLL based on equivalent signal model[J]. GNSS World of China, 2021, 46(4): 93-100. doi: 10.12265/j.gnss.2021020101

基于等效噪声模型的数字锁相环环路参数确定方法

doi: 10.12265/j.gnss.2021020101
基金项目: 国家部委资助项目(2019-JCJQ-JJ-190)
详细信息
    作者简介:

    封帆:(1996—),男,硕士研究生,主要从事守时技术研究

    臧文驰:(1994—),男,助理工程师,主要从事时空基准技术研究

    通讯作者:

    臧文驰 E-mail:1505650761@qq.com

  • 中图分类号: P228.4;TN911.8

A method for designing the loop parameters of digital PLL based on equivalent signal model

  • 摘要: 理想情况下,数字锁相环(DPLL)的环路参数可以通过直接计算输入原子钟与压控振荡器(VCO)的相位噪声功率谱交点来确定. 但该方法不能考虑到锁相环(PLL)其他模块的噪声,这会导致输出性能恶化. 针对这一问题,文中从PLL模型出发,基于PLL环路传递函数和幂律谱模型,提出PLL模块噪声的等效方法. 该方法将PLL各模块噪声分别等效到输入和VCO的相位噪声上,使得PLL的噪声传递模型只含有等效输入噪声和等效VCO噪声. 然后可以直接计算两者相位噪声交点并设置合理的环路参数. 通过该方法确定的环路参数可以充分结合输入原子钟信号和VCO信号的相位噪声和频率稳定度特性,弥补了直接计算交点法不能考虑模块噪声的缺点. 实验表明:文中方法所选择的环路参数能使得输出信号具备良好的稳定度,可以为应用于净化原子钟信号的数字锁相装置环路参数的确定提供理论指导.

     

  • 图  1  PLL噪声传递模型

    图  2  PLL的幅频响应曲线

    图  3  PLL输出信号的单边带相位噪声

    图  4  TIC测量原理

    图  5  等效建模前PLL噪声传递模型

    图  6  等效建模后PLL噪声传递模型

    图  7  DAC噪声在VCO中的传递模型

    图  8  等效建模前锁相环噪声传递模型

    图  9  等效建模后PLL噪声传递模型

    图  10  基于等效噪声模型的PLL噪声传递

    图  11  等效噪声模型的数字PLL环路参数确定方法流程图

    图  12  输入和VCO信号的单边带相位噪声

    图  13  输入和VCO信号的频率稳定度

    图  14  等效噪声模型的单边带相位噪声

    图  15  等效噪声模型的频率稳定度

    图  16  不同参数下输出信号的相位噪声

    图  17  不同参数下输出信号的频率稳定度

    图  18  频率稳定度对比

    图  19  相位噪声对比

    表  1  实验参数

    参数
    系统采样率100 Hz
    鉴相误差U(−3 ps, 3 ps)
    ${K_d}$1
    ${K_0}$1
    DAC位数24
    VCO压控牵引范围10−7
    下载: 导出CSV
  • [1] YU M Y, WANG Y, WAN J Y, et al. Low phase noise microwave frequency synthesizer for cold atom clock[J]. AIP advances, 2019, 9(4): 045223. DOI: 10.1063/1.5093165
    [2] SURESH B, VISVANATHAN V, KRISHNAN R S, et al. Application of alpha power law models to PLL design methodology[C]//The 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, 2005. DOI: 10.1109/ICVD.2005.54
    [3] 张杰, 马冠一. GNSS接收机锁相环最佳环路带宽的选取[J]. 电讯技术, 2015, 55(8): 890-894. DOI: 10.3969/j.issn.1001-893x.2015.08.011
    [4] 孙家星, 孙越强, 杜起飞. 锁相环频率合成器最优环路带宽的选取[J]. 固体电子学研究与进展, 2016, 36(6): 457-459, 500.
    [5] CURRAN J T, LACHAPELLE G, MURPHY C C. Digital GNSS PLL design conditioned on thermal and oscillator phase noise[J]. IEEE transactions on aerospace and electronic systems, 2012, 48(1): 180-196. DOI: 10.1109/TAES. 2012.6129629
    [6] STEVANOVIC S, PERVAN B. A GPS phase-locked loop performance metric based on the phase discriminator output[J]. Sensors, 2018, 18(1): 296. DOI: 10.3390/s18010296
    [7] WU Y W, GONG H, ZHU X W, et al. A clock steering method: using a third-order type 3 DPLL equivalent to a Kalman filter with a delay[J]. Metrologia, 2015, 52(6): 864-877. DOI: 10.1088/0026-1394/52/6/864
    [8] WU Y W, GONG H, ZHU X W, et al. A DPLL method applied to clock steering[J]. IEEE transactions on instrumentation and measurement, 2016, 65(6): 1331-1342. DOI: 10.1109/TIM.2016.2526699
    [9] KASDIN N J. Discrete simulation of colored noise and stochastic processes and 1/f power law noise generation[J]. Proceedings of the IEEE, 1995, 83(5): 802-827. DOI: 10.1109/5.381848
    [10] D'APUZZO M, D'ARCO M, MORIELLO R S L. A composite-power-law noise generator[C]//IEEE Instrumentation and Measurement Technology Conference, 2008. DOI: 10.1109/IMTC.2008.4547146
    [11] CHORTI A, BROOKES M. A spectral model for RF oscillators with power-law phase noise[J]. IEEE transactions on circuits and systems I: regular papers, 2006, 53(9): 1989-1999. DOI: 10.1109/TCSI.2006.881182
    [12] 王敏格. 全数字锁相环的研究与设计[D]. 北京: 北京交通大学, 2018.
    [13] 张攀. 高频CMOS数字锁相环关键技术研究[D]. 西安: 西安电子科技大学, 2018.
    [14] 闫菲菲, 马红皎, 何在民, 等. 基于FPGA和TDC芯片的高精度时间间隔计数器研制[J]. 时间频率学报, 2019, 42(1): 33-42.
    [15] 邓小莺. 全数字锁相环抖动和相位噪声的研究[D]. 南京: 东南大学, 2012.
    [16] 宋复成, 顾明亮, 杨增汪. 用DAC0832芯片实现32位D/A的高分辨率[J]. 微计算机信息, 2007, 23(20): 293-294. DOI: 10.3969/j.issn.1008-0570.2007.20.119
  • 加载中
图(19) / 表(1)
计量
  • 文章访问数:  353
  • HTML全文浏览量:  247
  • PDF下载量:  44
  • 被引次数: 0
出版历程
  • 收稿日期:  2021-02-01
  • 网络出版日期:  2021-08-13

目录

    /

    返回文章
    返回